VHDL 流程 - 需要多少人字拖



对困难简单的问题很友善,我知道这是一般的,但这正是我问的原因......

如果我在 VHDL 中编写代码并使用以这种方式启动的进程:

Process(clk,x,y,x)
begin
...
end process

有什么方法可以不必保存 X,Y,Z 值吗? 我理解这一点的方式是,如果我不保存它们,我将无法说其中一个是否更改,这意味着我必须保存它们。

我和一个朋友一起写大学作业,我们有不同的意见。 非常感谢帮手!

这完全取决于您的需求。

如果你不知道 - 当你在VHDL中为FPGA,CPLD等制作一些项目时,你必须忘记你对编程的了解,因为你是在设计硬件,而不是软件。大多数情况下(如果不是总是这样)您不必保存此值,例如,当您进行组合电路时。在这种情况下,您不关心以前是什么,而只关心当前这个值是什么。看看一些有限状态机的例子,它有组合逻辑的过程。

最后 - 如果你把时钟放在灵敏度列表中,这意味着你希望它是同步的,并且进程只会在这个时钟的某个边缘启动,所以向它放置更多的信号(重置除外)是没有意义的。

最新更新