c-正在尝试转换十六进制值,请提供帮助



我正试图将十六进制输出向左移动一,这样我就可以在7段lcd上显示9以上的数字。

在C上编程,我使用的软件是NIOS II,这样我就可以直接在DE0板上重新编程。

该项目的目的是在每次按下"button1"时将LCD的值增加一。我已经成功地做到了这一点,当然,在9点之后,它需要向左移动,从1开始重新启动,用0代替它的位置。我做了一些研究,但运气不好,所以我们很感激你的帮助。谢谢

代码如下:

#include "sys/alt_stdio.h"   //for the alt_putstr function below.  Outputs to Eclipse console
#include "altera_avalon_pio_regs.h"  //for the I/O functions in the while loop below
#include "sys/alt_timestamp.h"  //see Nios II Software Developer’s Handbook, Timestamp Driver
#include "system.h"
#define setHeaderOuts HEADEROUTPUTS_BASE+0x10   //HEADEROUTPUTS_BASE is defined in system.h of the _bsp file.  It refers to the base address in the Qsys design
                                                //the hex offset (in this case 0x10, which is 16 in decimal) gives the number of bytes of offset
                                                //each register is 32 bits, or 4 bytes
                                                //so to shift to register 4, which is the outset register, we need 4 * (4 bytes) = 16 bytes
#define clearHeaderOuts HEADEROUTPUTS_BASE+0x14 //to shift to register 5 (the 'outclear' register) we need to shift by 5 * (4 bytes) = 20 bytes, (=0x14 bytes)
                                                // offset of 5 corresponds to the 'outclear' register of the PIO.

int  main(void)
{
    alt_putstr("This is the ELEE1062 version of the NIOS processor");
    int buttons = 0; //the buttons on the DE0
    //int switches = 0;  //the switches on the DE0
    int count = 0; //general purpose counter
    int hexd = 0;
    while(1)
    {
        buttons=IORD_ALTERA_AVALON_PIO_DATA(PUSHBUTTONS1_2_BASE); //read the value of the pushbuttons
        while((buttons & 0x01) == 1) // i.e. while pushbutton 1 is not pressed
        {
            buttons=IORD_ALTERA_AVALON_PIO_DATA(PUSHBUTTONS1_2_BASE); //read the value of the pushbuttons
        }
        count=count+1;
        IOWR_ALTERA_AVALON_PIO_DATA(DE0_LEDS_BASE,count); //display the value of count in binary, using the green LEDs
        while((buttons & 0x01) == 0) //i.e. while pushbutton 1 is pressed
        {
            buttons=IORD_ALTERA_AVALON_PIO_DATA(PUSHBUTTONS1_2_BASE); //read the value of the pushbuttons
        }
        if (count==0)
        {
            hexd=0x000000c0;
        }
        else if (count==1)
        {
            hexd=0xf9;
        }
        else if ( count==2)
        {
            hexd=0xa4;
        }
        else if ( count==3)
        {
            hexd=0xb0;
        }
        else if ( count==4)
        {
            hexd=0x99;
        }
        else if ( count==5)
        {
            hexd=0x92;
        }
        else if ( count==6)
        {
            hexd=0x82;
        }
        else if ( count==7)
        {
            hexd=0xd8;
        }
        else if ( count==8)
        {
            hexd=0x80;
        }
        else if ( count==9)
        {
            hexd=0x90;
        }
        else if ( count>9)
        {
            hexd= hexd & ~(1<<count);
        }

        //count=alt_timestamp_start(); //start the timer. Timer increments each clock cycle.  Clock for ELEE1062_NIOS is 50MHz
        //buttons=IORD_ALTERA_AVALON_PIO_DATA(PUSHBUTTONS1_2_BASE); //read the value of the pushbuttons
        //switches=IORD_ALTERA_AVALON_PIO_DATA(DE0SWITCHES_BASE); //read the value of the switches
        IOWR_ALTERA_AVALON_PIO_DATA(SSEG_BASE,hexd);  //DE0 7 segment displays all off --notice that a logic '1' turns the segment off
        IOWR_ALTERA_AVALON_PIO_DATA(SSEG_BASE,hexd);  //DE0 7 segment displays all on
        IOWR_ALTERA_AVALON_PIO_DATA(DE0_LEDS_BASE,0x000);  //all off --for the green LEDs, a logic '0' turns the LED off
        IOWR_ALTERA_AVALON_PIO_DATA(DE0_LEDS_BASE,0xfff);  //all on
        IOWR_ALTERA_AVALON_PIO_DATA(clearHeaderOuts,0x01); //turn off the first pin of the output port
        IOWR_ALTERA_AVALON_PIO_DATA(setHeaderOuts,0x01);    //turn on the first pin of the output port
        //IOWR_ALTERA_AVALON_PIO_DATA(SSEG_BASE,switches);  //light up the 7 segment display segments corresponding to how the DE0 switches are set
        IOWR_ALTERA_AVALON_PIO_DATA(DE0_LEDS_BASE,buttons); //light up the green LEDs corresponding to which DE0 buttons are pushed
        //count=alt_timestamp(); //record the value of the timer, and store in the 'count' variable

    }
}

仅仅换班是行不通的。9 -> 10(你可以称之为移位),但19 -> 20呢?由于这显然是一个家庭作业或其他形式的学习,我不会为你写代码。您的最终目标是在7段led显示屏上显示数字。从中思考。所以作为一个输入,你有二进制数(count)和输出应该是led引脚信号。您的任务是将一个转换为另一个。Led本质上是用十进制基数操作的,所以你首先需要将二进制转换为一系列十进制数字,然后将它们转换为pin信号(这个代码你已经有了)。要使用所有四位数字,您需要将数字转换为0x11223344格式,其中数字表示前导位置。0xF9A4B099是1234(如果我没有弄错的话)。

以下函数将返回一个u32值,该值由四个字节组成,带有数字显示的LED值。请注意,我不知道您的显示器希望数字按什么顺序显示,所以您可能需要字节交换返回值或其他什么。此外,此功能将为LED显示屏提供前导零-您可能需要修改内容,使其在显示屏上显示为空白。

typedef unsigned int u32;
static char led_digits[] = { 0xc0, 0xf9, 0xa4, 0xb0, 0x99, 0x92, 0x82, 0xd8, 0x80, 0x90 };
u32 four_digits( int x)
{
    unsigned char c[4];
    int i;
    for (i = 0; i < 4; ++i)
    {
        int digit = x % 10; 
        x = x / 10;
        c[i] = led_digits[digit];
    }
    return (u32)(c[3] << 24) | (u32)(c[2] << 16) | (u32)(c[1] << 8) | (u32)c[0];
}

最新更新