为什么在RTL设计中,Ram或FIFO的深度总是地址宽度的2倍?

  • 本文关键字:深度 地址 2倍 FIFO RTL Ram verilog
  • 更新时间 :
  • 英文 :


我看到了很多ram和FIFO设计的例子,内存深度总是地址宽度的2倍:

parameter addr_width=4;
parameter ram_depth=1<< addr_width;

为什么?如果地址的宽度等于 4,那么深度应该有 16 种可能性吧?为什么使用2 * 4? 提前谢谢。

我认为您将1<<addr_widthaddr_width<<1混淆了.结果却大不相同。

1<<addr_width实际上是 2**addr_width。(或 2^addr_width您喜欢的任何表示法(。

最新更新