将LED,开关和按钮组合在一起



我正在Verilog中创建一个Basys2板,以在二进制中显示七个段显示。我使用的是开关0-3,并想使用4个按钮,以便按下按钮[0]时,开关的二进制数(向上1,向下显示0)。但是,我坚持如何将按钮与二进制和LED集成。我是Verilog的新手,遇到了一些麻烦。有什么建议么?

module final(btn,clk,sw,cathodes,anodes,led,rst);
    input clk,rst;
    output [6:0] cathodes;
    output [7:0] led;
    output [3:0] anodes;
    input [3:0] sw;
    input [3:0] btn;
    reg [6:0] cathodes;
    reg [15:0] dig;
    reg [3:0] anodes;
    reg slow_clock;
    integer count;
    reg [7:0] led;
    always @ (posedge clk)
        create_slow_clock(clk, slow_clock);

卡在这里如何分配LED:

always @(posedge clk)
        begin
            if (btn[0:3]);
                begin
                    led[0]
                    led[1]
                    led[2]
                    led[3]

也不确定这是正确的:

always @ (posedge slow_clock)
            begin
                led=~led;
                if (rst == 0) anodes = 4'b1111;
                else
                    begin
                        case (btn)
                            0: anodes = 4'b0111;
                            1: anodes = 4'b1011;
                            2: anodes = 4'b1101;
                            3: anodes = 4'b1110;
                            default: anodes = 4'b1111;

                    endcase
                    cathodes = calc_cathode_value(dig);
                    end
                end

function[6:0] calc_cathode_value;
    input [15:0] dig;
    begin
        case (dig)
            0: calc_cathode_value = 8'b00000011;
            1: calc_cathode_value = 8'b10011111;
            2: calc_cathode_value = 8'b00100101;
            3: calc_cathode_value = 8'b00001101;
            4: calc_cathode_value = 8'b10011001;
            5: calc_cathode_value = 8'b01001001;
            6: calc_cathode_value = 8'b01000001;
            7: calc_cathode_value = 8'b00011111;
            8: calc_cathode_value = 8'b00000001; 
            9: calc_cathode_value = 8'b00001001;
            'hA: calc_cathode_value = 8'b00010001;
            'hb: calc_cathode_value = 8'b11000001;
            'hC: calc_cathode_value = 8'b01100011;
            'hd: calc_cathode_value = 8'b10000101;
            'hE: calc_cathode_value = 8'b01100001;
            'hF: calc_cathode_value = 8'b01110001;
            default: calc_cathode_value = 8'b0000001;
        endcase
    end
endfunction
task create_slow_clock;
    input clock;
    inout slow_clock;
    integer count;
        begin
            if (count > 250000)
            begin
                count = 0;
                slow_clock = ~slow_clock;
            end
            count = count + 1;
        end
    endtask

endModule

您的信号btn定义为4位,这意味着案例语句需要表示从0到f的所有16个可能性。考虑一下,当将一个按钮推到以上时,需要发生什么同一时间。如果您仅关心按下一个按钮中的1个案例,则您的案例值需要为0,1,2,4,因为每个位位置代表另一个2^n值。

最新更新