断言失败,实例名称不是合法的右值 [7.1(IEEE)]



我的断言代码如下:

property p_crossbar_halted_chk12;
@(posedge `SOG_REST_SCOPE.clk)
$rose(`SOG_REST_SCOPE.crossbar_halted) 
|-> ##[0:1] $rose(`SOG_REST_SCOPE.last_cycle) 
|-> ##[0:1] $fell(`SOG_REST_SCOPE.last_cycle);
endproperty
ASSERT_P_CROSSBAR_HALTED_CHK12: assert property(p_crossbar_halted_chk12);

它不断给出编译错误说

ASSERT_P_CROSSBAR_HALTED_CHK12断言属性 (p_crossbar_halted_chk12( 实例名称不是合法的右值 [7.1(IEEE(]

当我尝试用像您这样的宏替换$sformatf调用中的 %s 时,就会发生这种情况。尝试给出绝对路径来代替宏"SOG_REST_SCOPE",我认为问题将得到解决。

最新更新