我想在开始之前把一个向量转换成整数



这是我的up_down_counter的代码,注释是荷兰语的,但并不重要。

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity up_down_teller is
    port ( maxc_vector    :    in std_logic_vector(6 downto 0);  -- "maximum count" : maximale telwaarde (decimaal)   = aantal posities - 1
           minc_vector    :    in std_logic_vector(6 downto 0);
           enable         :    in  std_logic;
           up_down        :    in  std_logic;                    -- telrichting
           clk_500ms      :    in  std_logic;                    -- systeemklok
           bcd            :    out std_logic_vector(7 downto 0); -- tellerstand
           tc             :    out std_logic                     -- tc geeft aan wanneer de maximum telwaarde bereikt is (voor cascade)
         );                                                       
end up_down_teller;
    architecture Behavioral of up_down_teller is
    signal maxc_int : integer range 0 to 99;
    signal minc_int : integer range 0 to 99;
    maxc_int <= to_integer(signed(maxc_vector));
    minc_int <= to_integer(signed(minc_vector));
    -- berekening maximumwaarden voor eenheden (Emax) en tientallen (Tmaxà    
    constant Emax             :     integer     := maxc_int mod 10;            -- rest na deling mc door 10 levert maximumwaarde voor eenheden                 
    constant Tmax             :     integer     := (maxc_int - Emax)/10;    -- mc verminderd met Emax levert veelvoud van 10. Delen door 10 levert het     maximumcijfer voor de tientallen.    
    -- berekening minimumwaarden voor eenheden (Emin) en tientallen (Tmin)
    constant Emin             :     integer     := minc_int mod 10;            -- rest na deling mc door 10 levert minimumwaarde voor eenheden                 
    constant Tmin             :     integer     := (minc_int - Emin)/10;    -- minc_int verminderd met Emin levert veelvoud van 10. Delen door 10 levert het     minimumcijfer voor de tientallen.    
    -- declaratie interne signalen
    signal sEcnt_i         :     integer range 0 to 9;                        -- declaratie een terugleesbaar signaal voor het tellen van de eenheden
    signal sTcnt_i         :     integer range 0 to 9;                        -- declaratie een terugleesbaar signaal voor het tellen van de tientallen
BEGIN
tellerproces : process (clk_500ms)
.
.
.
What follows is less important.

当我运行这段代码时,它会产生一个错误,因为我不能在"BEGIN"之前转换类型,但我必须这样做,所以我可以为最小值和最大值进行计算,是否有任何解决方法?

您必须将maxc_intminc_int定义为泛型或常量才能做到这一点。如果你把它们作为信号,它们可以在运行时改变,而你不能在运行时改变信号的大小。

具有泛型的实体可以像这样:

entity up_down_teller is
    generic(
           maxc_vector    :    integer range 0 to 99 := 10;
           minc_vector    :    integer range 0 to 99 := 10
    );
    port ( enable         :    in  std_logic;
           up_down        :    in  std_logic;                    -- telrichting
           clk_500ms      :    in  std_logic;                    -- systeemklok
           bcd            :    out std_logic_vector(7 downto 0); -- tellerstand
           tc             :    out std_logic                     -- tc geeft aan wanneer de maximum telwaarde bereikt is (voor cascade)
         );                                                       
end up_down_teller;

最新更新