配置类中的虚拟接口



如何在不使用uvm_config_db的情况下使用虚拟接口从我的配置类中指出TB_top中的接口?

这个问题测试了你对uvm_config_db的了解,它只是uvm_pkg内部全局变量的数据库。您所要做的就是在包中创建一个虚拟接口变量,您可以在其中定义配置类,然后在调用之前设置它run_test()

package my_config_pkg;
virtual my_interface vif;
class my_configuration;
...
endclass
endpackage

module TB_top;
my_interface ifinst();
initial begin
        my_config_pkg::vif = ifinst;
        run_test("my_test");
end
endmodule

最新更新