是否需要在 Systemverilog 中调用类对象的构造函数?



我有一个类,类中的一个元素是另一个类。 我是否需要在类本身的构造函数中调用元素的构造函数? 例如:

class my_class;
  element_class A;
  function new ();
    A = new(123);
  endfunction
endclass

class element_class;
  int B;
  function new(int init_value);
    B = init_value;
  endfunction
endclass

是否需要"my_class"中的构造函数调用,或者您可以执行以下操作:

class my_class;
  element_class A;
  function new ();
    A.B = 123;
  endfunction
endclass

class element_class;
  int B;
  function new(int init_value);
    B = init_value;
  endfunction
endclass

SystemVerilog 中的所有类都需要调用其构造函数,然后才能引用该类对象的任何成员。

最新更新