如何显示来自Modelsim / Synopsys模拟器的Verilog力列表



对于 ncsim https://community.cadence.com/cadence_technology_forums/f/functional-verification/17382/ncsim-how-to-display-list-of-verilog-force-from-inside-verilog-testbench。

如何跟踪Verilog部队列表,是否有任何基于模拟器/语言的摘要?

对于 Synopsys/vcs-mx,请使用 -force_list 限定符:

  1. 在编译时使用-force_list

  2. 然后在运行测试时使用-force_list <filename>

有关详细信息,请参阅 VCS-MX 用户指南。

最新更新