使用Vivado在Virtex7上生成sin/cos



我正试图在带有Xilinx Vivado的Virtex 7上的SystemVerilog中实现QAM调制器,但我一直在生成本地振荡器的sin和cos。

更具体地说,我有I和Q信号作为输入(每个3位),我必须将它们分别与余弦和正弦波相乘。乘法很好,但我需要一个IP来生成给定频率的余弦和正弦。

为此,我深入阅读了以下链接中提供的DDS编译器v6.0的文档,但我仍然被卡住了:http://www.xilinx.com/support/documentation/ip_documentation/dds_compiler/v6_0/pg141-dds-compiler.pdf

有人有什么建议或示例代码可以帮助我吗?

我提前感谢

编辑:

请在下面找到一些屏幕截图和我的示例代码。我不明白的是,为什么罪恶论者会接受这些"奇怪"的价值观。我是否正确使用了dds_compiler?

截图和Vivado项目(我还没有直接发布的权限):https://www.dropbox.com/s/xi5hralr2klk37s/dds_compiler.zip?dl=0

调制器.sv:

    `timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 31.03.2015 07:41:17
// Design Name: 
// Module Name: modulator
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////

module modulator(
    input  logic        clk,
    input  logic [2:0]  I,
    input  logic [2:0]  Q,
    output logic [18:0] p1,
    output logic [18:0] p2,
    output logic        tvalid
    );
    // internal signals
    logic [15:0] sin,cos;
    // carrier generation
    dds_compiler_0 dds_compiler_0_inst(
        .aclk(clk),
        .m_axis_data_tdata({sin,cos}),
        .m_axis_data_tvalid(tvalid)
    );
    // multiplier
    mult_gen_0 mult_gen_0_inst_1(
        .CLK(clk),
        .A(I),
        .B(cos),
        .P(p1)
    );
    mult_gen_0 mult_gen_0_inst_2(
            .CLK(clk),
            .A(Q),
            .B(sin),
            .P(p2)
        );
endmodule

modulator_testbench.sv:

    `timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company: 
// Engineer: 
// 
// Create Date: 31.03.2015 07:41:17
// Design Name: 
// Module Name: modulator_testbench
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//////////////////////////////////////////////////////////////////////////////////

module modulator_testbench();
    // test signals
    logic        clk;
    logic [2:0]  I, Q;
    logic [18:0] p1,p2;
    logic        tvalid;
    // generate clock
    always begin clk=1; #5; clk=0; #5; end
    // instantiate dut
    modulator dut(
        .clk(clk),
        .I(I),
        .Q(Q),
        .p1(p1),
        .p2(p2),
        .tvalid(tvalid)
    );
    // start simulation
    initial begin
        #65;
        I=3'd1;  Q=3'd1; #10;
        I=-3'd1; Q=3'd1; #10;
        I=3'd3;  Q=-3'd3; #10;
        I=-3'd3; Q=-3'd1; #10;
        I=3'd1;  Q=-3'd1; #10;
    end
endmodule

编辑II:

对于后验性,这里提供了完整的代码;详细情况和解释可在本文中找到。

多亏了dieli的评论,一切都很顺利。我在这里总结一下,以防它能帮助其他人:

设置的相位增量(在我的情况下为"1100")是在每个时钟周期添加的。因此,由于我使用16位总线,因此一个sin/cos大约需要5461个时钟周期。然后,我们可以使用数据表中给出的公式轻松地计算输出频率。要使用Vivado查看sin/cos波,请右键单击sin/cos信号,然后选择"波形样式"one_answers"模拟"。(请确保运行模拟足够的时间。)

最新更新