了解vhdl代码和4位ALU的流程



我在这里做了4位ALU,我声明了实体

entity ALU is
      Port ( a : in  STD_LOGIC_VECTOR (3 downto 0););
       end ALU;

你能解释一下逻辑向量数组是如何工作的吗?我是说

的语法
        a : in STD_LOGIC_VECTOR (3 downto 0);

操作符=>

2-顺序设计与组合设计和分层设计之间的区别是什么,并告诉我ALU CPU编码在所有这些设计中?

你能解释一下我的意思是逻辑向量数组是如何工作的吗

的语法
a : in STD_LOGIC_VECTOR (3 downto 0);

这是一个端口声明,由

组成
  • a—端口名称
  • in -它的方向-它向这个实体提供一个信号
  • STD_LOGIC_VECTOR -它的类型(粗略地说,是一个位数组)
  • (3 downto 0)其索引类型(4个整数降序排列)
  • ; -下次声明前的分隔符。

从这个问题看不清楚,你不明白什么。

操作符=>

并不是一个真正的操作符,例如,你不能重载它——它是一个关联,将(在case语句中)左边的case值与右边的case操作关联起来。

你还会看到它在参数列表、端口映射等中将参数名与参数值关联起来。

2- 4位行为值和4位判决值的区别乘数

一个会加,一个会乘。虽然我从来没听说过"判决"乘数

最新更新