verilog中浮点加法器的测试台



我想写浮点双精度加法器。在测试台上,我遇到了一些问题。

*加法器是一个获取两个64位数字并求和的模块。

这是我的测试台:

module testadder;
  reg [63:0]           a;
  reg [63:0]           b;
  wire [63:0]          sum; 
  reg[10:0] expa,expb,expsum;
  reg signa,signb,signsum,one;
  reg[51:0] fa,fb,fsum;
  real ta,tb,fa2,fb2,sumcheck,fsum2,resultmodulesum;
  integer i;  
  reg [10:0]h23;
adder nameofinstance(sum,a,b);
initial begin  
   for(i=0;i<1000;i=i+1)
   begin
     h23=1023;
     one=1'b1;
   a = {$random(),$random()};
   b = {$random(),$random()};
   #10;
    expa=a[62:52]-h23;
    expb=b[62:52]-h23;
    fa=a[51:0];
    fb=b[51:0];
    signa=a[63];
    signb=b[63];
    fa2 = ( $bitstoreal(fa)/(2**52) )+ one ;
    ta=(-1)**(signa)*fa2*(2**expa);
    fb2 = ( $bitstoreal(fb)/(2**52) ) + one;
    tb=(-1)**(signb)*fb2*(2**expb);
    sumcheck=ta+tb;
    fsum=sum[51:0];
    signsum=sum[63];
    fsum2 = ( $bitstoreal(fsum)/(2**52) ) +one;
    expsum=$bitstoreal(sum[62:52])-1023;
    resultmodulesum=(-1)**(signsum)*fsum2*(2**expsum);
    if(sumcheck!=resultmodulesum)
      $display("wrong");

 end
end
endmodule


 module adder(sum,a, b);
    input [63:0]   a;
    input [63:0] b;
    reg [63:0] fa,fb;
    always @(a or b) begin
    fa={1'b1,a[51:0],12'b0};
    fb={1'b1,b[51:0],12'b0};
    end
    endmodule
  1. 当我用1加( $bitstoreal(fa)/(2**52) )时,fa2得到1!但当我不加它时,fa2得到了(fa/2^52)的实际值。所以我把代码改成这样,以避免添加代码,但又出现了另一个问题

我对制作tatbresultmodulesum的更改:(fa示例)

 fa2 = ( $bitstoreal(fa)/(2**52) ) ;
 ta=(-1)**(signa)*(fa2*(2**expa)+(2**expa));

2.然后我明白ta总是到达(-1)**(signa)*(2**expa);则意味着CCD_ 8为0!但我不知道为什么,我能做些什么来纠正它。我认为,即使我没有更改fa2fa2(其中添加了一个)以提供正确的输出,我的ta也可能会出现问题。

3.我的模块里还有一个问题,那就是它真的很奇怪!加法器代码模块的一小部分来自测试台代码。当我调试代码时,fb的第63位是0!知道吗?

这不是一个完整的答案,但超出了评论中所能表达的范围。

对于浮点双精度的模型,我本希望看到以下内容:

reg [63:0] a;
reg [63:0] b;
//Built in real for verification of code
real result;
real a_real;
real b_real;
initial begin
  a = {$random(),$random()};
  b = {$random(),$random()};
  #1ps;
  a_real = $bitstoreal(a);
  b_real = $bitstoreal(b);
  result = a_real + b_real;
  $display("a      %64b", a);
  $display("b      %64b", b);
  $display("a_real %f", a_real);
  $display("b_real %g", b_real);
  $display("result %f",  result);
  #1ps;
  $finish;
end

对于将随机双打分成几个部分,我本以为会看到这样的东西:

wire a_sign;           // 1 bit
wire [10:0] a_exponent;//11 bit
wire [51:0] a_fraction;//52 bit
assign a_sign     = a[63]   ;
assign a_exponent = a[62:52];
assign a_fraction = a[51:0] ;
wire b_sign;           // 1 bit
wire [10:0] b_exponent;//11 bit
wire [51:0] b_fraction;//52 bit
assign b_sign     = b[63]   ;
assign b_exponent = b[62:52];
assign b_fraction = b[51:0] ;

最新更新