对于Verilog/VHDL模拟:如何从命令行打开modelsim wlf文件



使用 GtkWave,我可以简单地从命令行打开一个 vcd 波形文件,如下所示:

PS> gtkwave waves.vcd

现在,我需要切换到 modelsim,并且也需要此功能。 如何从命令行打开 modelsim wlf 波形文件,并让它以与 gtkwave 相同的方式打开波形窗口? 我想做这样的事情:

PS> start vsim -do "wave open vsim.wlf"
ERROR: bad tcl syntax...

到目前为止,我能做的最好的事情就是打开波浪窗口:

PS> start vsim -do "view wave"

您正在寻找 modelsim 的-view选项:

vsim -view vsim.wlf

将在模型中打开跟踪的数据集im,在那里你可以

do wave.do

以打开波形文件。

您也可以一起完成所有这些工作

vsim -view vsim.wlf -do wave.do

我使用vsim -view vsim.wlf,然后add wave -r *

我使用vsim -do my_module.domy_module看起来像这样:

vsim -gui work.my_module
add wave -position insertpoint  
sim:/my_module/*

如果您已使用以下方法将设计添加到工作中,则可以直接使用vsim -gui work.my_module

vlib work
vcom my_module.vhd
vmap -c 
vsim -gui work.my_module

最新更新