在 cocotb 中使用 ghdl 修改 VHDL 泛型值



我设法用cocotb读取通用模块值没有问题。但是如果我写不出来。

我的 VHDL 泛型是:

...
generic (
    ... 
    C_M00_AXI_BURST_LEN : integer   := 16;
    ...
)

我可以在可可乐中读到它:

 self.dut.log.info("C_M00_AXI_BURST_LEN 0x{:x}".format(
                   int(self.dut.c_m00_axi_burst_len)))

但是如果我尝试更改它:

self.dut.c_m00_axi_burst_len = 32

我收到这个python错误:

  Send raised exception: Not permissible to set values on object c_m00_axi_burst_len
File "/opt/cocotb/cocotb/decorators.py", line 197, in send
  return self._coro.send(value)
File "/usr/local/projects/axi_pattern_tester/vivado_ip/axi_pattern_tester_1.0/cocotb/test_axi_pattern_tester_v1_0.py", line 165, in axi4_master_test
  dutest.print_master_generics()
File "/usr/local/projects/axi_pattern_tester/vivado_ip/axi_pattern_tester_1.0/cocotb/test_axi_pattern_tester_v1_0.py", line 86, in print_master_generics
  self.dut.c_m00_axi_burst_len = 32
File "/opt/cocotb/cocotb/handle.py", line 239, in __setattr__
  return getattr(self, name)._setcachedvalue(value)
File "/opt/cocotb/cocotb/handle.py", line 378, in _setcachedvalue
  raise TypeError("Not permissible to set values on object %s" % (self._name))

有没有办法使用 GHDL 作为模拟器?

事实上,user1155120、Paebbels 和 scary_jeff回答了这个问题:这是不可能的。

但是可以以不同的方式使用配置来解决此问题。VHDL通用值可以在Makefile中添加"-g"选项到SIM_ARGS参数:

SIM_ARGS+=-gC_M00_AXI_BURST_LEN=16

然后可以在cocotb"dut"对象下读取该值,就像任何其他信号一样,并用作模拟参数:

C_M00_AXI_BURST_LEN = int(dut.C_M00_AXI_BURST_LEN.value)

最新更新