枚举变量的可选随机化



>我正在使用Systemverilog编写一个测试平台,我希望在每个测试中自由选择随机化某些变量或指定它们的值(从.do文件或命令行(。Systemverilog中是否有任何选项可以执行此操作?

你可以做很多事情,但最简单的是把+some_variable=value放在命令行上,然后在你的代码中

if (!$value$plusargs("some_variable=%0d",some_variable)
some_variable = $urandom;

声明一个变量并使用$urandom$urandom_range生成随机值。如果要从命令行传递值,可以使用$value$plusargs

阅读LRM的第21章(输入/输出系统任务(以查找示例。

最新更新