将enum强制转换为逻辑



考虑以下模块声明:

module DFF(d, q, CLK, RESET);
 parameter W = 2;
 input  [W-1:0] d;                      
 input  CLK;                                
 input  RESET;      
 output logic [W-1:0]   q; 
//....
endmodule

在d和q是枚举类型的情况下,实例化它的正确方法是什么?这是我的enum类型:

typedef enum logic [1:0] {ENUM_IDLE = 0,
            ENUM_S1 ,
            ENUM_S2
            } T_STATE;

我想实例化一个T_STATE变量类型的DFF:

T_STATE d, q;
DFF dff_inst (.d(d), .q(q), .CLK(CLK), .RESET(RESET));

这会产生编译/枚举错误。

DFF dff_inst (.d(logic'(d)), .q(logic'(q)), .CLK(CLK), .RESET(RESET));

DFF dff_inst (.d(logic[1:0]'(d)), .q(logic[1:0]'(q)), .CLK(CLK), .RESET(RESET));

我想保持DFF定义不变,但将enum类型强制转换为逻辑类型。

编辑:

这个在IEEE Std 1800-2012, 6.24.1中建议,也会产生一个细化错误:

typedef logic [$bits(T_STATE) - 1 : 0] T_STATE_LOGIC; 
DFF dff_inst (.d(T_STATE_LOGIC'(d)), .q(T_STATE_LOGIC'(q)), .CLK(CLK), .RESET(RESET));

d不需要强制转换。

我只能用ModelSim重现错误,我可以访问的所有其他模拟器都没有产生任何错误或警告,并正确模拟。

对于ModelSim,我发现这是有效的:

DFF dff_inst (.q(q[1:0]), .*);

和这个工作:

DFF dff_inst (.q({q}), .*);

在这里的工作示例

最新更新