我被一些VHDL代码卡住了。
我正在尝试编译这个:
entity ball is
port(video_on : in std_logic;
pixel_x,pixel_y : in std_logic_vector(10 downto 0);
obj3_r,obj3_g,obj3_b : out std_logic_vector (3 downto 0);
obj3_on : out std_logic);
end entity;
architecture arch of ball is
-- definimos dimension de izquierda a derecha
constant ball_l : integer :=800;
constant ball_r : integer :=815;
-- definimos dimension de arriba a abajo
constant ball_top : integer :=502;
constant ball_bottom : integer :=522;
begin
obj3_on <= '1' when (ball_l <=pixel_x) and (pixel_x <= ball_r) and (ball_top <= pixel_y) and (pixel_y <= ball_bottom) else
'0';
obj3_r <= (others => '0');
obj3_g <= "0111";
obj3_b <= (others => '0');
end arch;
Quartus II显示了这个错误:无法确定运算符"<="的定义;在使用WHEN语句的行。我不知道问题出在哪里。
谢谢你的帮助!!
您正试图在没有定义关系运算符的std_logic_vector
类型的信号上使用关系运算符。您可以通过以下方法之一使其工作:
-
使用
ieee.numeric_std
中定义的unsigned
类型。这是在数值上下文中解释数组的标准方法。将端口信号声明为unsigned
,或者在每次比较中使用类型转换函数。 -
在支持VHDL-2008的情况下,使用
ieee.numeric_std_unsigned
包,该包为std_logic_vector
添加了无符号数字语义。
不要使用非标准的std_logic_arith
,它是类似于numeric_std_unsigned
的早期包。
您还应该使用natural
而不是integer
作为常量,因为没有定义用于比较unsigned
和integer
的运算符。