将信号名称传递到验证日志任务中



而不是这个任务只是切换tb.stimulus.top.Ichip0.vbiash高低十次,我希望能够调用它传递任何信号tb.stimulus.top.Ichip0.vbiasl,tb.stimulus.top.Ichip0.vbiasx或tb.stimulus.top.Ichip0.vbiasz并使它们也切换。例如toggle_signal(tb.stimulus.top.Ichip0.vbiasl); 有没有可能这样做。 如果是这样,我将非常感谢如何实现这一目标的示例。

task toggle_signal;
begin
  for (monpad_index=0; monpad_index < 10; monpad_index = monpad_index + 1)
  begin
    #1000;
    force tb.stimulus.top.Ichip0.vbiash = 1'b1;
    #1000;
    force tb.stimulus.top.Ichip0.vbiash = 1'b1;
    #1000;
  end
end

您不能将单个名称添加到任务中。但是您可以创建一个宏来执行此操作。

`define toggle_signal(sig) 
  for (monpad_index=0; monpad_index < 10; monpad_index = monpad_index + 1) 
  begin 
    #1000 force tb.stimulus.top.Ichip0.sig = 1'b1; 
    #1000 force tb.stimulus.top.Ichip0.sig = 1'b0; 
    #1000; 
  end

然后写

`toggle_signal(vbiash)
`toggle_signal(vbiasl)

如果你不怕潜入一点C编程,你可以编写自己的PLI/VPI。我建议查看IEEE Std 1800-2012关于PLI/VPI的部分(§ 36, 37, & 38)。您的来可能如下所示(注意,代码是起始参考。我还没有测试过):

static int my_vpi_force_release_calltf(PLI_BYTE* user_data) {
  vpiHandle sys, argv, signal;
  p_vpi_value p_value;
  int force_release;
  force_release = (int) user_data;
  sys = vpi_handle(vpiSysTfCall, 0);
  argv = vpi_iterate(vipArgument, sys);
  signal = vpi_handle_by_name(vpi_get_str(vpi_scan(argv), 0);
  if (force_release != 0 ) {
    vpi_get_value(vpi_scan(argv), p_value);
    vpi_put_value(signal, p_value, 0, vpiForceFlag);
  } else {
    vpi_get_value(signal, p_value);
    vpi_put_value(signal, p_value, 0, vpiReleaseFlag);
  }
  return 0;
}
void register_my_vpi_force_release()
{
    s_vpi_systf_data data;
    data.type      = vpiSysTask;
    data.calltf    = my_vpi_force_release_calltf;
    data.tfname    = "$my_force";
    data.user_data = (PLI_BYTE8 *) 1;
    vpi_register_systf(&data);
    data.tfname    = "$my_release";
    data.user_data = (PLI_BYTE8 *) 0;
    vpi_register_systf(&data);
}

您可以在 verilog 中调用 PLI/VPI 任务:

task toggle_signal( input [80*8-1:0] path_str );
integer index;
begin
  for (index=0; index < 10; index = index + 1)
  begin
    #1000;
    $my_force( path_str, 1'b1);
    #1000;
    $my_force( path_str, 1'b0 );
    #1000;
  end
  $my_release( path_str );
end
endtask
...
initial begin
   ...
   toggle_signal( "tb.stimulus.top.Ichip0.vbiash" );
   ...
end

如果您不想编写自己的自定义PLI/VPI,那么我建议启用SystemVerilog并包含UVM(主要的模拟器内置UVM,或自己下载)。UVM库有一个内置的方法uvm_hdl_force/uvm_hdl_release

你去吧。以下代码将信号名称作为参数传递给任务,然后将信号名称打印为字符串。在iverilog测试。

reg this_is_some_signal_name;

`define NAME(net) `"net`"
task print;
    input reg [32*8] str;
    begin
        $display(">>> %0s", str);
    end
endtask

initial begin
    print(`NAME(this_is_some_signal_name));
    $finish;
end

输出:

>>> this_is_some_signal_name

最新更新