更改 verilog 中 64 位浮点数的符号



所以我正在使用Verilog中的64位浮点数进行合成,理想情况下我想做-A*B,其中A和B是两个数字。我已经过去做 A*B,所以现在如果我只是将第一位 0 的值更改为 1 或 1 更改为 0 以使其表示 -A*B,现在是否可以。

有点像,

A[0]

=~A[0];

提前感谢您的任何建议。

是的!仅此而已。

请记住,否定0会给你带来-0。(它们是不同的浮点位模式。这对您是否重要取决于您的申请。

最新更新