在 Verilog HDL 中使用移位运算符的定点除法浮点数



我想问一下是否可以使用 shift 运算符除以浮点数或固定数。我想将浮点数或固定数除以 2 的幂?我知道对于十进制数,我们可以在 Verilog HDL 中使用移位运算符进行除法和乘法。

如果你真的想除以(位移(浮点数,你可以使用一种叫做定点的方法。

假设您将(说(保持数字 1.45(稍后对其进行位移(。您将 145 存储在一个整数中,每次访问变量时,您都会使用右移操作将值除以 2。

最新更新