Verilog代码查找字符串的长度



我想知道如何在verilog中找到字符串的长度。

示例:CAT是一个3个字母的字符串,APPLE是一个字母字符串。如何在verilog中创建这样的代码?

Verilog中根本没有"字符串"。Verilog只对位进行操作。有一些语法糖可以将ascii字母的代码分配给一个位数组。因此,没有像"c"中那样对字符串进行操作的工具。字符串文字中的每个符号都映射到矢量中的顺序8位。

为了找到有意义的字符串长度,您需要为其创建一个规则,并在创建字符串时遵循该规则。例如,您可以使用"c"表示法,并使用"0"作为终止字符。然后,您需要扫描比特数组并计算长度。

另一个例子是将其长度作为一个单独的变量。

根据您的需要,您可以切换到SystemVerilog并使用"字符串"类型作为不可合成的构造,但它在测试台中为您提供了与字符串相关的工具。

最新更新