我不明白 Vivado 错误:IO 放置不可行



我有以下Vivado错误,我不明白:

[Place 30-58] IO放置不可行。未放置的终端数(1)大于可用站点数(0)。以下是可用引脚的银行:IO Group: 0 with: SioStd: LVCMOS18 VCCO = 1.8 Termination: 0 TermDir: Out RangeId: 1 Drv: 12设备上只有0个站点可用,但需要1个站点。术语:DigOutput [0]

DigOutput,顾名思义,是我的框图上的数字输出。我不明白"未放置的终端数量(1)大于可用站点数量(0)"是什么意思;在错误消息中。我还没有放置很多IOs,所以我肯定不会让IOs超载。

我找到了解决方案。我用了"在constraints文件中,而不是"DigOutput"因此,Vivado无法放置IO。我仍然不能真正理解他们的错误信息,但至少我解决了这个问题。约束文件中的正确定义是:set_property PACKAGE_PIN G21 [get_ports {DigOutput}]

最新更新