在VHDL中正确地从有符号转换为无符号



我有一个带符号的累加器,用作BROM LUT的索引。无论如何,我在代码中进行了错误检查,以检测溢出/下溢事件。这些是绝对关键的,因为应用是模拟RF前端的AGC,所以下溢可能会导致信号激增以获得最大增益,从而炸毁我们的前端部分。因此,我需要找到一种方法来正确地从有符号转换为无符号。例如,以下是我迄今为止所拥有的:

library ieee;
...
use ieee.numeric_std.all;
...
process (clk)
variable preSumV   : signed(accumToLut'left downto 0) := (others => '0');
variable satCheckV : std_logic_vector(2 downto 0) := (others => '0');
begin
if rising_edge(clk) then
if reset = '1' then
preSumV         := (others => '0');
satCheckV       := (others => '0');
overflow        <= '0';
underflow       <= '0';
accumToLut      <= (others => '0');
accumToLutValid <= '0';
else
accumToLutValid <= mult.resultValid;
-- accumulate
if mult.resultValid = '1' then
-- perform accum
preSumV   := preSumV + mult.result(mult.result'left downto mult.result'left-accumToLut'length+1);
satCheckV := accumToLut(accumToLut'left) & mult.result(mult.result'left) & preSumV(preSumV'left);
-- check for signed OVF/saturation
-- A,B is pos, sum neg = overflow so set max pos
if satCheckV = "001" then
overflow <= '1';
accumToLut(accumToLut'left) <= '0';
accumToLut(accumToLut'left-1 downto 0) <= (others => '1');
-- A,B is neg, sum pos = underflow so set max neg
elsif satCheckV = "110" then
underflow <= '1';
accumToLut(accumToLut'left) <= '1';
accumToLut(accumToLut'left-1 downto 0) <= (others => '0');
-- -- no overflow
else
overflow   <= '0';
underflow  <= '0';
accumToLut <= preSumV;
--accumToLut <= preSumV(preSumV'left-1 downto 0);
end if;
end if;
end if;
end if;
end process;
accumToLutScaled <= accumToLut(accumToLut'left downto accumToLut'left-GainWordLookup'length+1);
index            <= unsigned(accumToLutScaled);
GainWordLookup   <= c_LinToDbLut(to_integer(accumToLutScaled));

我遇到的问题是信号index的有符号到无符号转换。因为这是有符号2的补码,所以比特没有变化。因此,当我将accumToLut值设置为最大/最小有符号值时,当我执行index <= unsigned(accumToLutScaled)时,这不会转换为相应的最大/最小无符号值。

为了提供示例,假设preSumVmult.resultaccumToLut都是12比特。当发生溢出事件时,accumToLut被设置为有效的0x7FF0b0111111111111。但是,当我转换为无符号时,我希望它是FFF,对应于LUT中的最大条目。最好只是在index赋值中添加一个偏移量,还是有更干净的方法?

听起来你在寻找绝对值,对吧?你想知道什么时候结果的幅度太大,但你不在乎符号。使用内置的abs函数可以做到这一点。我相信abs的结果是一个无符号值,但您可能必须强制转换为无符号值。

index <= unsigned(abs(accumToLutScaled));

从@Tricky,根据我的表的大小添加了一个固定的偏移量,我已经解决了这个问题:

index <= unsigned(accumToLutScaled + 2**(accumToLutScaled'length - 1))

最新更新