为什么逻辑右移在这个代码中表现得像算术右移



我正在构建一个浮点加法器模块,其中一部分需要2的补码和移位值。主要模块代码为

module backup (x, y, z, clk);
input [7:0] x,y;
output reg [7:0] z;
input clk;
integer i;
reg [1:0] a;
reg unsigned [7:0] temp;
reg unsigned [8:0] temp2;
always @ (posedge clk) begin
a = 2'b00;
temp = 8'b00111100; 
temp = ((~temp)+1) >> 2;
$display("temp = %b", temp);
end
endmodule
module backup_tb();
reg [7:0] x,y;
wire [7:0] z;
reg clk;
backup m1 (.x(x),.y(y),.z(z),.clk(clk));
always #10 clk = ~clk;
initial begin
clk = 0;
#50
$finish;
end
endmodule

此代码的输出为temp = 11110001,而预期输出为temp = 00110001。请解释一下我这里缺了什么,或者我该怎么修?

问题不在于右移;它与表达式CCD_ 3有关。您正在将一个8位操作数添加到一个32位操作数中,并且操作数在执行任何操作之前得到扩展。数字文字隐式为32位。因此,按位~操作反转32'b00000000_00000000_00000000_00111100,然后将其右移。要修复它,你可以写:

temp = ((~temp)+1'b1) >> 2;

最新更新