所以我试图在EDA PLayground上为32位ALU编写VHDL,但我得到了一些我不太明白的错误信息,我不知道如何修复它们,请问有人可以帮助吗?我不知道错误在哪里,我不知道在我的代码中修改什么来修复它们。
下面是VHDL代码:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_signed.ALL;
entity alu is
port(
A, B: in std_logic_vector(31 downto 0);
opcode: in std_logic_vector(2 downto 0);
Result: in std_logic_vector(31 downto 0)
);
end entity alu;
architecture dataoperations of alu is
begin
Result <= A + B when opcode="1010"
else A - B when opcode="1000"
else abs(A) when opcode="1011"
else -A when opcode="1101"
else abs(B) when opcode="0001"
else -B when opcode="1001"
else A or B when opcode="0110"
else not A when opcode="1111"
else not B when opcode="0101"
else A and B when opcode="1100"
else A xor B when opcode="0010";
end architecture dataoperations;
下面是测试台架代码:
library IEEE;
use IEEE.std_logic_1164.all;
entity mytestbench is
end entity mytestbench;
architecture test of mytestbench is
signal in1, in2, out1: std_logic_vector (31 downto 0);
signal in3: std_logic_vector (2 downto 0);
begin
g1: entity work.alu(dataoperations)
port map (A <= in1, B <= in2; opcode <= in3, Result <= out1);
in1 <= "0001", "0FAF" after 20 ns, "F000" after 40 ns;
in2 <= "0100", "7FFF" after 10 ns, "FFFF" after 30 ns;
in3 <= "00";
end architecture test;
下面是错误信息:
COMP96 File: design.vhd
COMP96 Compile Architecture "dataoperations" of Entity "alu"
COMP96 ERROR COMP96_0143: "Object "Result" cannot be written." "design.vhd" 15 2
COMP96 File: testbench.vhd
COMP96 ERROR COMP96_0724: "',' or ')' expected." "testbench.vhd" 12 28
COMP96 ERROR COMP96_0015: "';' expected." "testbench.vhd" 12 31
COMP96 ERROR COMP96_0019: "Keyword 'when' expected." "testbench.vhd" 12 65
COMP96 ERROR COMP96_0015: "';' expected." "testbench.vhd" 12 65
COMP96 ERROR COMP96_0019: "Keyword 'end' expected." "testbench.vhd" 12 65
COMP96 ERROR COMP96_0016: "Design unit declaration expected." "testbench.vhd" 12 66
COMP96 ERROR COMP96_0019: "Keyword 'of' expected." "testbench.vhd" 16 22
COMP96 ERROR COMP96_0018: "Identifier expected." "testbench.vhd" 16 22
最后8个错误是一个分号,在g1端口映射中应该使用逗号分隔符。
-- port map (A <= in1, B <= in2; opcode <= in3, Result <= out1);
port map (A => in1, B => in2, opcode => in3, Result => out1);
列表用逗号分隔,但接口声明列表用分号分隔。这是端口映射方面中端口关联的丢失(它不是声明,而端口子句是)。
(注端口映射关联在正式端口和实际信号之间使用复合分隔符=>
而不是<=
。这将是另外一打或更多的错误。)
第一个错误是由于alu端口Result的模式错误(应该是mode out)。
这些是排版错误。
mytestbench中有未公开的错误。
分配给in1, in2的字符串值应该是位字符串(例如x"7FFF"),其中十六进制数字每个代表4个元素,当应该有32个元素时显示16个元素(例如x"00007FFF")。赋给in3的值没有足够的元素。例如:
-- in1 <= "0001", "0FAF" after 20 ns, "F000" after 40 ns;
-- in2 <= "0100", "7FFF" after 10 ns, "FFFF" after 30 ns;
-- in3 <= "00";
in1 <= x"00000001", x"00000FAF" after 20 ns, x"0000F000" after 40 ns;
in2 <= x"00000100", x"00007FFF" after 10 ns, x"0000FFFF" after 30 ns;
in3 <= "000";
这是不错的第一次尝试。从分号到逗号的错误量令人惊讶,这反映了在ALDEC工具中找到的解析器体系结构。前瞻性为1的解析器会(应该)更快退出。语法错误很难提供有用的错误消息,它们在任何语义分析之前就被检测到。您最后的追索权可能是检查语法(在LRM, IEEE标准1076中描述)。
同一行的第一个错误:
OMP96 ERROR COMP96_0724: "',' or ')' expected." "testbench.vhd" 12 28
,其中最后两个数字是行号,字符位置是首先需要注意的。你几乎认为在第一个错误之后退出会更好地"教"你语言的语法。
还有一个未公开的模拟错误,例如when opcode="1010"
在字符串文字长度和操作码中的元素数量(3,2到0)之间存在不匹配。相等操作符将始终计算为FALSE。要么修复字符串字面值,要么在操作码中具有匹配数量的元素。