如何在Verilog中使用交换32位?示例:(位0复制到位置31位31复制到位置0)



如何在Verilog中使用交换32位?示例:(位0复制到位置31位31复制到位置0(p[0]<=p[31];p[31]<=p[0];

我建议使用generate构造:

wire [31 : 0] swapped;
genvar i;
generate
for(i = 0; i < 32; i = i + 1) begin
assign swapped[i] = p[31 - i];
end
endgenerate

最新更新