如何在VHDL中将布尔数据类型创建为标准逻辑



在常规std.logic库中是否存在将布尔数据类型转换为vhdl中的std逻辑的函数?

简而言之,答案是:没有。

正如@Harry所指出的,不可能将布尔值中的2个值映射到std_logic中的9个值。

std_logic-类型用于在模拟时检测代码中的问题,并更好地表示硬件稍后的操作

因此,我建议您不要编写将true转换为'1'、将false转换为'0'的函数,因为那样您将失去std_logic-类型的优势。

注意,自VHDL 2008标准以来,允许甚至实现从std_logicboolean的转换。

最新更新