如何克服凿子中的定点分割问题



当我在fixedpoint中运行测试代码时,我会收到一个错误。有人能帮我查一下密码吗???代码:

import chisel3._
import chisel3.util._
import chisel3.experimental.FixedPoint
import chisel3.internal.ChiselException
import scala.language.experimental.macros
class FPMul extends Module {
val io = IO(new Bundle {
val a = Input(FixedPoint(4.W, 2.BP))
val b = Input(FixedPoint(4.W, 2.BP))
val p = Output(FixedPoint(8.W, 2.BP))
})
io.p := io.a + io.b / 6.0.F(0.BP)
}

错误:

#### chisel3.internal.ChiselException: division is illegal on FixedPoint types**

非常感谢你的帮助。

使用FixedPoint根本不可能。

正如Jack所解释的那样,如果你想进行除法运算,你需要自己实现。

最新更新