优化Vivado HLS代码以减少图像处理算法的延迟



我正在尝试使用Vivado HLS为硬件的色域映射滤波器实现图像处理算法。我用Halide代码创建了一个可合成的版本。但对于(256x512)的图像来说,它花费了太长的时间,大约需要135秒,而事实并非如此。我使用了一些优化技术,比如对最内部循环进行流水线操作。通过流水线操作,我为最内部循环设置了II=1的目标(启动间隔),但获得的II是6。从编译器抛出的警告中,我了解到这是因为访问了ctrl_pts&weights,在教程中,我看到,使用数组分区和数组整形将有助于更快地访问权重。我在下面分享了我用来合成的代码:

//header
include "hls_stream.h"
#include <ap_fixed.h>
//#include <ap_int.h>
#include "ap_int.h"
typedef ap_ufixed<24,24> bit_24;
typedef ap_fixed<11,8> fix;
typedef unsigned char uc;
typedef ap_uint<24> stream_width;
//typedef hls::stream<uc> Stream_t;
typedef hls::stream<stream_width> Stream_t;
struct pixel_f
{
float r;
float g;
float b;
};
struct pixel_8
{
uc r;
uc g;
uc b;
};
void gamut_transform(int rows,int cols,Stream_t& in,Stream_t& out, float ctrl_pts[3702][3],float weights[3702][3],float coefs[4][3],float num_ctrl_pts);

//core
//include the header
#include "gamut_header.h"
#include "hls_math.h"
void gamut_transform(int rows,int cols, Stream_t& in,Stream_t& out, float ctrl_pts[3702][3],float weights[3702][3],float coefs[4][3],float num_ctrl_pts)
{
#pragma HLS INTERFACE axis port=in
#pragma HLS INTERFACE axis port=out
//#pragma HLS INTERFACE fifo port=out
#pragma HLS dataflow
pixel_8 input;
pixel_8 new_pix;
bit_24 temp_in,temp_out;
pixel_f buff_1,buff_2,buff_3,buff_4,buff_5;
float dist;
for (int i = 0; i < 256; i++)
{
for (int j = 0; i < 512; i++)
{
temp_in = in.read();
input.r = (temp_in & 0xFF0000)>>16;
input.g = (temp_in & 0x00FF00)>>8;
input.b = (temp_in & 0x0000FF);
buff_1.r = ((float)input.r)/256.0;
buff_1.g = ((float)input.g)/256.0;
buff_1.b = ((float)input.b)/256.0;

for(int idx =0; idx < 3702; idx++)
{

buff_2.r = buff_1.r - ctrl_pts[idx][0];
buff_2.g = buff_1.g - ctrl_pts[idx][1];
buff_2.b = buff_1.b - ctrl_pts[idx][2];

dist = sqrt((buff_2.r*buff_2.r)+(buff_2.g*buff_2.g)+(buff_2.b*buff_2.b));

buff_3.r = buff_2.r + (weights[idx][0] * dist);
buff_3.g = buff_2.g + (weights[idx][1] * dist);
buff_3.b = buff_2.b + (weights[idx][2] * dist);
}
buff_4.r = buff_3.r + coefs[0][0] + buff_1.r* coefs[1][0] + buff_1.g * coefs[2][0] + buff_1.b* coefs[3][0];
buff_4.g = buff_3.g + coefs[0][1] + buff_1.r* coefs[1][1] + buff_1.g * coefs[2][1] + buff_1.b* coefs[3][1];
buff_4.b = buff_3.b + coefs[0][2] + buff_1.r* coefs[1][2] + buff_1.g * coefs[2][2] + buff_1.b* coefs[3][2];

buff_5.r = fmin(fmax((float)buff_4.r, 0.0), 255.0);
buff_5.g = fmin(fmax((float)buff_4.g, 0.0), 255.0);
buff_5.b = fmin(fmax((float)buff_4.b, 0.0), 255.0);

new_pix.r = (uc)buff_4.r;
new_pix.g = (uc)buff_4.g;
new_pix.b = (uc)buff_4.b;
temp_out = ((uc)new_pix.r << 16 | (uc)new_pix.g << 8 | (uc)new_pix.b);
out<<temp_out;
}
}
}

即使实现了II=6,所花费的时间也约为6秒;给定的目标是使所用时间以毫秒为单位。我试着为第二个最内部的循环进行流水线操作,但当我这样做时,我的板上的资源已经用完了,因为第三个最内部循环正在展开。我使用的是zynq超大型板,它有相当多的资源。任何关于优化代码的建议都将不胜感激。

此外,有人能建议哪种类型的接口最适合ctrl_pts、权重和系数吗?对于阅读图像,我知道流式接口有帮助,对于读取行数和列数等小值,Axi-lite是首选?有没有一种类型的接口可以用于上面提到的变量,这样它就可以与数组分区和数组整形齐头并进?

任何建议都将不胜感激,

提前感谢

编辑:我知道定点表示可以进一步降低延迟,但我的第一个目标是获得最佳结果的浮点表示,然后用定点表示分析性能

您可以采取一些步骤来优化设计,但请记住,如果您真的需要一个浮动平方根运算,那么很可能会带来巨大的延迟损失(当然,除非正确地进行流水线运算)。

您的代码在第二个内部循环中可能有拼写错误:索引应该是j,对吗?

数据位置

首先:ctrl_pts是从主内存中多次读取的(我想是这样)。由于它被重复使用了256x512次,因此最好将其存储在FPGA上的本地缓冲区中(就像BRAM一样,但可以推断),就像这样:

for(int i =0; i < 3702; i++) {
for (int j = 0; j < 3; ++j) {
#pragma HLS PIPELINE II=1
ctrl_pts_local[i][j] = ctrl_pts[i][j];
}
}
for (int i = 0; i < 256; i++) {
for (int j = 0; i < 512; i++) {
// ...
buff_2.r = buff_1.r - ctrl_pts_local[idx][0];
// ...

coefsweights也有同样的推理,只是在运行其余代码之前将它们存储在一个局部变量中。要访问参数,您可以使用主AXI4接口m_axi并对其进行相应配置。一旦算法处理了本地缓冲区,HLS就应该能够相应地自动划分缓冲区。如果没有,您可以放置ARRAY_PARTITION complete dim=0杂注来强制它。

数据流

由于您的算法的工作方式,您可以尝试的另一件事是将主循环(256x512)分解为三个在数据流中运行的较小进程,因此并行(如果包括设置进程,则为+3)

整个代码看起来像这样(我希望它能正确呈现):

[Compute buff_1]-->[FIFO1]-->[compute buff_3]-->[FIFO2a]-->[compute buff_4 and buff_5 + stream out]
L-------------------------------->[FIFO2b]----^

一件棘手的事情是将buff_1流式传输到这两个下一个进程。

可能的代码

我不会尝试这个代码,所以在这个过程中可能会有编译错误,但整个加速器代码看起来像这样:

for(int i =0; i < 3702; i++) {
for (int j = 0; j < 3; ++j) {
#pragma HLS PIPELINE II=1
ctrl_pts_local[i][j] = ctrl_pts[i][j];
weights_local[i][j] = weights[i][j];
}
}
for(int i =0; i < 4; i++) {
for (int j = 0; j < 3; ++j) {
#pragma HLS PIPELINE II=1
coefs_local[i][j] = coefs[i][j];
}
}
Process_1:
for (int i = 0; i < 256; i++) {
for (int j = 0; i < 512; i++) {
#pragma HLS PIPELINE II=1
temp_in = in.read();
input.r = (temp_in & 0xFF0000)>>16;
input.g = (temp_in & 0x00FF00)>>8;
input.b = (temp_in & 0x0000FF);
buff_1.r = ((float)input.r)/256.0;
buff_1.g = ((float)input.g)/256.0;
buff_1.b = ((float)input.b)/256.0;
fifo_1.write(buff_1); // <--- WRITE TO FIFOs
fifo_2b.write(buff_1);
}
}
Process_2:
for (int i = 0; i < 256; i++) {
for (int j = 0; i < 512; i++) {
for(int idx =0; idx < 3702; idx++) {
#pragma HLS LOOP_FLATTEN // <-- It shouldn't be necessary, since the if statements already help
#pragma HLS PIPELINE II=1 // <-- The PIPELINE directive can go here
if (idx == 0) {
buff_1 = fifo_1.read(); // <--- READ FROM FIFO
}
buff_2.r = buff_1.r - ctrl_pts_local[idx][0];
buff_2.g = buff_1.g - ctrl_pts_local[idx][1];
buff_2.b = buff_1.b - ctrl_pts_local[idx][2];

dist = sqrt((buff_2.r*buff_2.r)+(buff_2.g*buff_2.g)+(buff_2.b*buff_2.b));

buff_3.r = buff_2.r + (weights_local[idx][0] * dist);
buff_3.g = buff_2.g + (weights_local[idx][1] * dist);
buff_3.b = buff_2.b + (weights_local[idx][2] * dist);
if (idx == 3702 - 1) {
fifo_2a.write(buff_3); // <-- WRITE TO FIFO
}
}
}
}
Process_3:
for (int i = 0; i < 256; i++) {
for (int j = 0; i < 512; i++) {
#pragma HLS PIPELINE II=1
buff_3 = fifo_2a.read(); // <--- READ FROM FIFO
buff_1 = fifo_2b.read(); // <--- READ FROM FIFO
buff_4.r = buff_3.r + coefs_local[0][0] + buff_1.r* coefs_local[1][0] + buff_1.g * coefs_local[2][0] + buff_1.b* coefs[3][0];
buff_4.g = buff_3.g + coefs_local[0][1] + buff_1.r* coefs_local[1][1] + buff_1.g * coefs_local[2][1] + buff_1.b* coefs_local[3][1];
buff_4.b = buff_3.b + coefs_local[0][2] + buff_1.r* coefs_local[1][2] + buff_1.g * coefs_local[2][2] + buff_1.b* coefs_local[3][2];

buff_5.r = fmin(fmax((float)buff_4.r, 0.0), 255.0);
buff_5.g = fmin(fmax((float)buff_4.g, 0.0), 255.0);
buff_5.b = fmin(fmax((float)buff_4.b, 0.0), 255.0);

new_pix.r = (uc)buff_4.r;
new_pix.g = (uc)buff_4.g;
new_pix.b = (uc)buff_4.b;
temp_out = ((uc)new_pix.r << 16 | (uc)new_pix.g << 8 | (uc)new_pix.b);
out<<temp_out;
}
}

在确定FIFO的深度时要格外小心,因为进程2(具有sqrt操作的进程)可能具有较慢的数据消耗和生产率!此外,FIFO 2b需要考虑该延迟。如果速率不匹配,将出现死锁。确保有一个有意义的测试台,并共同模拟您的设计。(FIFO的深度可以通过杂注#pragma HLS STREAM variable=fifo_1 depth=N进行更改)。

最后的思考

在这一过程中,可能会有进一步的较小/详细的优化,但我首先从上面的优化开始,因为它们是最重的。请记住,浮点处理在FPGA上不是最佳的(正如您所指出的),通常是避免的。

编辑:我尝试了上面修改的代码,我已经实现了II=1,资源使用率很高。

由于II现在是一个,加速器的理想循环次数是256x512,我接近这个数字:理想的402653184比我的485228587)。我现在必须向您提出的一个疯狂想法是,将Process_2最内部的循环拆分为两个平行分支(实际上甚至不止两个),为它们自己的FIFO提供支持。Process_1将提供这两个分支,而额外的过程/循环将交替地从两个FIFO读取256x512元素,并以正确的顺序将它们提供给Process_3。这样,所需的总循环量应该减半,因为Process_2是数据流中最慢的进程(因此改进它将改进整个设计)。这种方法的一个可能的缺点是FPGA上所需的面积/资源量更高。

祝你好运。

最新更新